CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog encoder

搜索资源列表

  1. 8bits

    0下载:
  2. 用Verilog语言模拟的8位优先编码器,可作为课堂作业实用,是完整工程代码-Using Verilog language simulation of the 8 priority encoder, can be used as a classroom operation, is a complete code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:102866
    • 提供者:ww
  1. Huffman_enc_dec

    0下载:
  2. Huffman encoder decoder verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:9143
    • 提供者:carlos andres
  1. rsencoder.tar

    0下载:
  2. RS Encoder RTL verilog Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:3909
    • 提供者:richman
  1. hdmi_demo

    1下载:
  2. hdmi 视频编解码输入输出模块,verilog实现-hdmi encoder and decoder in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:43451
    • 提供者:
  1. LZSS

    0下载:
  2. Lempel–Ziv–Storer–Szymanski compression encoder verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2020
    • 提供者:Lin
  1. HDB3

    0下载:
  2. 针对数字基带传输系统中HDB3信号的特点,采用基于FPGA的Verilog HDL语言,实现HDB3数字基带信号的编码器设计,共有插V、插B、单双极性变换模块,最终能在FPGA实现。-For digital baseband transmission system HDB3 signal characteristics, based on FPGA Verilog HDL language, designed to achieve HDB3 encoder digital baseband si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:330023
    • 提供者:丁一
  1. bch_dec_enc_dcd

    0下载:
  2. 关于BCH的编码器和译码器,可实现16位,32位,64位,128位的编码和译码纠错,2位纠错,Verilog实现-On the BCH encoder and decoder, can achieve 16-bit, 32-bit, 64-bit, 128-bit encoding and decoding error correction, 2-bit error correction, Verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-16
    • 文件大小:1096704
    • 提供者:小小
  1. 实验三(1)的指导书

    0下载:
  2. 8-3优先编码, 1、学会用Verilog语言的描述方式来设计电路; 2、熟悉8—3优先编码器,并用Verilog语言实现其功能; 3、掌握Cyclone系列FPGA的程序加载,熟练掌握将.sof文件加载到实验箱中,实现8—3优先编码器的效果。(8-3 priority coding, 1. Learn to design the circuit with Verilog descr iption; 2. Familiar with 8-3 priority encoder and i
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:43008
    • 提供者:BavePicacho
  1. SSI_read

    1下载:
  2. 使用Verilog 编程语言实现对11 bit 编码器SSI输出的读取(Use Verilog to read encoder,it's 11 bit and SSI output)
  3. 所属分类:软件工程

    • 发布日期:2018-05-06
    • 文件大小:2048
    • 提供者:Fenmin
« 1 2 3 4 5 6 7 8»
搜珍网 www.dssz.com